Inter-Layer Scheduling Space Exploration for Multi-model Inference on Heterogeneous Chiplets

By Mohanad Odema, Hyoukjun Kwon, Mohammad Abdullah Al Faruque (University of California)

To address increasing compute demand from recent multi-model workloads with heavy models like large language models, we propose to deploy heterogeneous chiplet-based multi-chip module (MCM)-based accelerators. We develop an advanced scheduling framework for heterogeneous MCM accelerators that comprehensively consider complex heterogeneity and inter-chiplet pipelining. Our experiments using our framework on GPT-2 and ResNet-50 models on a 4-chiplet system have shown upto 2.2x and 1.9x increase in throughput and energy efficiency, compared to a monolithic accelerator with an optimized output-stationary dataflow.

Click here to read more ...