AI Connectivity & Chiplet Innovation at Alphawave Semi Unveiled

Letizia Giuliano of Alphawave Semi discusses advancements in AI connectivity, chiplet designs, and the path toward open standards at the AI Hardware Summit with host Allyson Klein, Founder and Principal, TechArena.

Allyson Klein: Welcome in the arena. My name is Allyson Klein. You’re coming to you from AI Hardware Summit in the Bay Area. And I am so delighted to be joined once again by Leticia Giuliano from Alphawave Semi. Welcome to the program. Leticia, how are you doing?

Leticia Giuliano: I’m good. Hi, Allyson. Thanks for inviting me again.

Allyson Klein: It’s always a delight to have you on the show, and I’m so glad that we caught up at AI Hardware. Why don’t we just start, for those who haven’t heard the previous episodes that you’ve been on, with just a brief introduction about Alphawave Semi and your role at the company.

Leticia Giuliano: Yes at Alphawave, we deliver solution for powering high performance connectivity and compute. We do that starting from leading edge connectivity, silicone IP. So we are leader on high speed service, including 100 gig, 200 gig, as well as PCIe Gen 7 and below that.

Leticia Giuliano: But also we do that delivering custom silicone business that is powered by our winning AP portfolio and also our partnership with ARM and our foundry ecosystem like TCMC for 2.5 and 3D packaging. So all the ingredients needed to afford these big AI chips and systems. At Alphawave I am responsible for the product marketing and management, so I see this product really coming to life and powering all our customer systems, so I’m really excited.

Allyson Klein: Leticia, you’ve been on the show so many times before, and we’ve always talked about the innovation in chips, and you’ve got such great purview, being involved in so many industry standards.

Allyson Klein: We’ve talked about chiplets before, and I was thinking about chiplets a lot when I was at AI Hardware. Tell me about where we are with chiplets and how you see the industry shaping up with so many different silicon suppliers out there. How do you see the industry shaping up in terms of that open chiplet ecosystem that we’ve talked about?

Click here to read more ...