Arm Compute Chiplet

High-performance Arm® Neoverse™ Compute Cluster – high-performance compute chiplet for artificial intelligence/machine learning (AI/ML), high-performance compute (HPC), data center and 5G/6G networking infrastructure applications

  • Arm Neoverse Class Compute Cluster
  • High-speed PCIe links
  • UCIe Die-to-Die interconnect
  • High-performance memory

Additional data available! Features, portability, process node, and more can be viewed by logging in with your Chiplet Marketplace account.

  • See the entire Arm Compute Chiplet datasheet
  • Get in contact with Arm Compute Chiplet Supplier
Register for free

Your Privacy

By clicking “Accept All Cookies”, you agree to the storing of cookies on your device to enhance site navigation, analyze site usage, and assist in our marketing efforts Privacy & Data Protection Policy