Eliyan Breaks Chiplet Memory Wall With Standard Packaging

Eliyan recently taped out its NuLink die-to-die PHY IP on TSMC N3, achieving 64 Gbps per bump using standard packaging, Eliyan CEO Ramin Farjadrad told EE Times. This is equivalent to 4.55 Tbps per millimeter of bandwidth at less than half a picojoule per bit. Die-to-die performance like this is usually seen only in advanced packaging designs using costly and complicated silicon interposers, he said, whereas Eliyan’s PHY technology enables multi-chiplet designs with advanced-packaging–like performance on standard organic substrates.

Achieving HBM3-like memory bandwidth on standard packaging could have implications for future chiplet-based AI accelerators, particularly those designed for generative AI inference, in which memory capacity and bandwidth are critical. Eliyan’s technology also allows more HBM stacks to surround each compute chiplet via extended reach and daisy-chaining.

Click here to read more ...

Your Privacy

By clicking “Accept All Cookies”, you agree to the storing of cookies on your device to enhance site navigation, analyze site usage, and assist in our marketing efforts Privacy & Data Protection Policy