How does UCIe on chiplets enable optical interconnects in data centers?

By Jeff Shepard, Connector Tips (March 13, 2024)

Chiplets enable heterogeneous integration of various process nodes and materials to maximize performance. UCIe is a new die-to-die interconnect standard for high-bandwidth, low-latency, power-efficient, and cost-effective connectivity between chiplets. UCIe is also the first specification to include an interface that is compatible with optical links.

Large computing systems needed to support high-performance computing (HPC) applications like artificial intelligence (AI) and machine learning (ML) are becoming increasingly difficult to build. In conventional architectures, the density of compute and memory resources is increasing, creating bandwidth bottlenecks and interconnect challenges. High hardware density also causes power and cooling challenges.

Click here to read more ...

Your Privacy

By clicking “Accept All Cookies”, you agree to the storing of cookies on your device to enhance site navigation, analyze site usage, and assist in our marketing efforts Privacy & Data Protection Policy