Arteris - Chiplet Ecosystem

Arteris is a catalyst for system-on-chip (SoC) innovation as the leading provider of semiconductor system IP for the acceleration of SoC development. Arteris Network-on-Chip (NoC) interconnect intellectual property (IP) and SoC integration technology enable higher product performance with lower power consumption and faster time to market, delivering proven flexibility and better economics for system and semiconductor companies, so innovative brands are free to dream up what comes next.

Multi-Die Designs

The insatiable drive towards higher performance and integration levels for semiconductor devices and electronics leads to adopting multi-die systems and chiplet-based designs to extend Moore’s Law towards “More than Moore.” Traditional monolithic chip designs cannot meet the constraints of the escalating demands for more complex, high-performing computing systems.

Arteris plays a pivotal role in this transformation by enabling interoperability and efficient communication among disparate chiplets. Connecting through our ecosystem partners that provide the physical connections between chiplets using the Universal Chiplet Interconnect Express™ (UCIe), Bunch of Wires (BoW), or proprietary connections, our Network-on-Chip (NoC) technology facilitates a seamless data flow between chiplets, ensuring that developers meet target performance, latency, and power consumption despite the complexity of chiplet-based designs.

Corporate Headquarters

Arteris
900 E. Hamilton Avenue, Suite 300
Campbell, CA 95008
United States

Your Privacy

By clicking “Accept All Cookies”, you agree to the storing of cookies on your device to enhance site navigation, analyze site usage, and assist in our marketing efforts Privacy & Data Protection Policy